Gowin Vol.3 第1部第2章 リスト2

# clk ポートから周期: 37.037 ns (周波数: 27 MHz) のクロックが入力されることを記載
create_clock -name clk -period 37.037 -waveform {0 18.518} [get_ports {clk}]

# tck_pad_i ポートから周期: 200 ns (周波数: 5 MHz) のクロックが入力されることを記載
create_clock -name tck_pad_i -period 200 -waveform {0 100} [get_ports {tck_pad_i}]

# clk, tck_pad_i 両者のクロックが非同期であり、タイミングを考慮する必要がないことを記載
set_clock_groups -asynchronous -group [get_clocks {clk}] -group [get_clocks {tck_pad_i}]