Gowin Vol.3 第1部第3章 リスト3

IO_LOC "digit_output[5]" T12;
IO_PORT "digit_output[5]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=24 OPEN_DRAIN=ON BANK_VCCIO=3.3;
IO_LOC "digit_output[4]" T11;
IO_PORT "digit_output[4]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=24 OPEN_DRAIN=ON BANK_VCCIO=3.3;
IO_LOC "digit_output[3]" P9;
IO_PORT "digit_output[3]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=24 OPEN_DRAIN=ON BANK_VCCIO=3.3;
IO_LOC "digit_output[2]" T8;
IO_PORT "digit_output[2]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=24 OPEN_DRAIN=ON BANK_VCCIO=3.3;
IO_LOC "digit_output[1]" T7;
IO_PORT "digit_output[1]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=24 OPEN_DRAIN=ON BANK_VCCIO=3.3;
IO_LOC "digit_output[0]" T6;
IO_PORT "digit_output[0]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=24 OPEN_DRAIN=ON BANK_VCCIO=3.3;
IO_LOC "dot_output" D14;
IO_PORT "dot_output" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=16 BANK_VCCIO=3.3;
IO_LOC "segment_output[6]" B14;
IO_PORT "segment_output[6]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=16 BANK_VCCIO=3.3;
IO_LOC "segment_output[5]" B13;
IO_PORT "segment_output[5]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=16 BANK_VCCIO=3.3;
IO_LOC "segment_output[4]" B12;
IO_PORT "segment_output[4]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=16 BANK_VCCIO=3.3;
IO_LOC "segment_output[3]" A11;
IO_PORT “segment_output[3]” IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=16 BANK_VCCIO=3.3;
IO_LOC “segment_output[2]” N6;
IO_PORT “segment_output[2]” IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=16 BANK_VCCIO=3.3;
IO_LOC “segment_output[1]” N9;
IO_PORT “segment_output[1]” IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=16 BANK_VCCIO=3.3;
IO_LOC “segment_output[0]” L9;
IO_PORT “segment_output[0]” IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=16 BANK_VCCIO=3.3;
IO_LOC “clk” H11;
IO_PORT “clk” IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;